Skip to content

Commit

Permalink
Update Chisel version to 5.1.0
Browse files Browse the repository at this point in the history
This just removes deprecated APIs, and bumps fixedpoint to include
ucb-bar/fixedpoint#8

Note that this is not compatible with Chisel 3.6.0.
  • Loading branch information
tymcauley committed Jan 16, 2024
1 parent 8f43366 commit 9481aa7
Show file tree
Hide file tree
Showing 24 changed files with 28 additions and 29 deletions.
10 changes: 5 additions & 5 deletions build.sbt
Original file line number Diff line number Diff line change
Expand Up @@ -5,8 +5,8 @@ enablePlugins(SiteScaladocPlugin)
enablePlugins(GhpagesPlugin)

val defaultVersions = Map(
"chisel3" -> "3.6.0",
"chiseltest" -> "0.6.2"
"chisel" -> "5.1.0",
"chiseltest" -> "5.0.2"
)

name := "dsptools"
Expand All @@ -29,10 +29,10 @@ val commonSettings = Seq(
case _ => Seq("org.scala-lang.modules" %% "scala-parallel-collections" % "1.0.4")
}
},
libraryDependencies ++= Seq("chisel3").map { dep: String =>
"edu.berkeley.cs" %% dep % sys.props.getOrElse(dep + "Version", defaultVersions(dep))
libraryDependencies ++= Seq("chisel").map { dep: String =>
"org.chipsalliance" %% dep % sys.props.getOrElse(dep + "Version", defaultVersions(dep))
},
addCompilerPlugin(("edu.berkeley.cs" %% "chisel3-plugin" % defaultVersions("chisel3")).cross(CrossVersion.full)),
addCompilerPlugin(("org.chipsalliance" %% "chisel-plugin" % defaultVersions("chisel")).cross(CrossVersion.full)),
)

val dsptoolsSettings = Seq(
Expand Down
2 changes: 1 addition & 1 deletion doc/Example.md
Original file line number Diff line number Diff line change
Expand Up @@ -6,7 +6,7 @@ A basic DSP Module + Tester might look like this:
package SimpleDsp

// Allows you to use Chisel Module, Bundle, etc.
import chisel3.{fromDoubleToLiteral => _, fromIntToBinaryPoint => _, _}
import chisel3._
// Allows you to use FixedPoint
import fixedpoint._
// If you want to take advantage of type classes >> Data:RealBits (i.e. pass in FixedPoint or DspReal)
Expand Down
2 changes: 1 addition & 1 deletion rocket/src/main/scala/jtag2mm/TestMultiplexer.scala
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@

package freechips.rocketchip.jtag2mm

import chisel3.{fromDoubleToLiteral => _, fromIntToBinaryPoint => _, _}
import chisel3._
import chisel3.util._
import chisel3.experimental.{FixedPoint => _, _}
import fixedpoint._
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/dsptools/misc/DspTesterUtilities.scala
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@

package dsptools.misc

import chisel3.{fromDoubleToLiteral => _, fromIntToBinaryPoint => _, _}
import chisel3._
import fixedpoint._
import dsptools.DspException
import dsptools.numbers.{DspComplex, DspReal}
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/dsptools/misc/PeekPokeDspExtensions.scala
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@
package dsptools.misc

import breeze.math.Complex
import chisel3.{fromDoubleToLiteral => _, fromIntToBinaryPoint => _, _}
import chisel3._
import fixedpoint._
import chiseltest.iotesters.PeekPokeTester
import dsptools.DspException
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@

package dsptools.numbers

import chisel3.{fromDoubleToLiteral => _, fromIntToBinaryPoint => _, _}
import chisel3._
import fixedpoint._
import dsptools.DspException
import breeze.math.Complex
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@

package dsptools.numbers

import chisel3.{fromDoubleToLiteral => _, fromIntToBinaryPoint => _, _}
import chisel3._
import fixedpoint._
import dsptools.hasContext
import implicits._
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@
package dsptools.numbers

import chisel3.util.ShiftRegister
import chisel3.{fromDoubleToLiteral => _, fromIntToBinaryPoint => _, _}
import chisel3._
import dsptools.{hasContext, DspContext, NoTrim}
import fixedpoint._

Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@

package dsptools.numbers

import chisel3.{fromDoubleToLiteral => _, fromIntToBinaryPoint => _, _}
import chisel3._
import fixedpoint._
import chisel3.util.ShiftRegister
import dsptools._
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@

package dsptools.numbers

import chisel3.{fromDoubleToLiteral => _, fromIntToBinaryPoint => _, _}
import chisel3._
import chisel3.util.{Cat, ShiftRegister}
import dsptools.{hasContext, DspContext, DspException, Grow, NoTrim, Saturate, Wrap}
import fixedpoint._
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@

package dsptools.numbers

import chisel3.{fromDoubleToLiteral => _, fromIntToBinaryPoint => _, _}
import chisel3._
import chisel3.util.{Cat, ShiftRegister}
import dsptools.{hasContext, DspContext, DspException, Grow, Saturate, Wrap}
import fixedpoint._
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/dsptools/numbers/implicits/AllOps.scala
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@

package dsptools.numbers

import chisel3.{fromDoubleToLiteral => _, fromIntToBinaryPoint => _, _}
import chisel3._
import spire.macros.Ops

import scala.language.experimental.macros
Expand Down
2 changes: 1 addition & 1 deletion src/test/scala/dsptools/ShiftRegisterDelaySpec.scala
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@

package dsptools

import chisel3.{fromDoubleToLiteral => _, fromIntToBinaryPoint => _, _}
import chisel3._
import chiseltest._
import chiseltest.iotesters._
import dsptools.misc.PeekPokeDspExtensions
Expand Down
3 changes: 1 addition & 2 deletions src/test/scala/dsptools/numbers/AbsSpec.scala
Original file line number Diff line number Diff line change
Expand Up @@ -2,9 +2,8 @@

package dsptools.numbers

import chisel3.{fromDoubleToLiteral => _, fromIntToBinaryPoint => _, _}
import chisel3._
import fixedpoint._
import chisel3.experimental.{FixedPoint => _, _}
import dsptools.{DspContext, Grow, Wrap}
import org.scalatest.freespec.AnyFreeSpec
import chiseltest._
Expand Down
2 changes: 1 addition & 1 deletion src/test/scala/dsptools/numbers/FixedPointSpec.scala
Original file line number Diff line number Diff line change
Expand Up @@ -5,7 +5,7 @@ package dsptools.numbers
//scalastyle:off magic.number

import chisel3.testers.BasicTester
import chisel3.{fromDoubleToLiteral => _, fromIntToBinaryPoint => _, _}
import chisel3._
import fixedpoint._
import dsptools.numbers.implicits._
import org.scalatest.freespec.AnyFreeSpec
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@

package dsptools.numbers

import chisel3.{fromDoubleToLiteral => _, fromIntToBinaryPoint => _, _}
import chisel3._
import fixedpoint._
import chiseltest._
import chiseltest.iotesters._
Expand Down
2 changes: 1 addition & 1 deletion src/test/scala/dsptools/numbers/NumbersSpec.scala
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@

package dsptools.numbers

import chisel3.{fromDoubleToLiteral => _, fromIntToBinaryPoint => _, _}
import chisel3._
import fixedpoint._
import chiseltest._
import chiseltest.experimental.sanitizeFileName
Expand Down
2 changes: 1 addition & 1 deletion src/test/scala/dsptools/numbers/ParameterizedOpSpec.scala
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@
package dsptools.numbers

import breeze.math.Complex
import chisel3.{fromDoubleToLiteral => _, fromIntToBinaryPoint => _, _}
import chisel3._
import fixedpoint._
import chiseltest._
import chiseltest.iotesters._
Expand Down
2 changes: 1 addition & 1 deletion src/test/scala/dsptools/numbers/TypeclassSpec.scala
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@

package dsptools.numbers

import chisel3.{fromDoubleToLiteral => _, fromIntToBinaryPoint => _, _}
import chisel3._
import fixedpoint._
import chiseltest._
import chiseltest.iotesters._
Expand Down
2 changes: 1 addition & 1 deletion src/test/scala/examples/ParameterizedAdderSpec.scala
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@

package examples

import chisel3.{fromDoubleToLiteral => _, fromIntToBinaryPoint => _, _}
import chisel3._
import fixedpoint._
import chiseltest._
import chiseltest.iotesters.PeekPokeTester
Expand Down
2 changes: 1 addition & 1 deletion src/test/scala/examples/SimpleAdderSpec.scala
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@

package examples

import chisel3.{fromDoubleToLiteral => _, fromIntToBinaryPoint => _, _}
import chisel3._
import fixedpoint._
import chiseltest._
import chiseltest.iotesters.PeekPokeTester
Expand Down
2 changes: 1 addition & 1 deletion src/test/scala/examples/SimpleComplexMultiplierSpec.scala
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@

package examples

import chisel3.{fromDoubleToLiteral => _, fromIntToBinaryPoint => _, _}
import chisel3._
import fixedpoint._
import chiseltest._
import chiseltest.iotesters._
Expand Down
2 changes: 1 addition & 1 deletion src/test/scala/examples/SimpleDspModuleSpec.scala
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@
package examples

// Allows you to use Chisel Module, Bundle, etc.
import chisel3.{fromDoubleToLiteral => _, fromIntToBinaryPoint => _, _}
import chisel3._
import dsptools.misc.PeekPokeDspExtensions
// Allows you to use FixedPoint
import fixedpoint._
Expand Down

0 comments on commit 9481aa7

Please sign in to comment.