Skip to content

Commit

Permalink
Merge pull request #1220 from slaclab/pre-release
Browse files Browse the repository at this point in the history
Release Candidate v2.53.0
  • Loading branch information
ruck314 authored Dec 6, 2024
2 parents 18541d2 + e3fbc5f commit 60065b6
Show file tree
Hide file tree
Showing 88 changed files with 3,526 additions and 256 deletions.
5 changes: 4 additions & 1 deletion axi/axi-stream/rtl/AxiStreamResize.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -89,7 +89,10 @@ begin
-- Make sure data widths are appropriate.
assert ((SLV_BYTES_C >= MST_BYTES_C and SLV_BYTES_C mod MST_BYTES_C = 0) or
(MST_BYTES_C >= SLV_BYTES_C and MST_BYTES_C mod SLV_BYTES_C = 0))
report "Data widths must be even number multiples of each other" severity failure;
report "Data widths must be even number multiples of each other" & LF &
"SLV_BYTES_C= " & integer'image(SLV_BYTES_C) & LF &
"MST_BYTES_C= " & integer'image(MST_BYTES_C)
severity failure;

-- When going from a large bus to a small bus, ready is necessary
assert (SLV_BYTES_C <= MST_BYTES_C or READY_EN_G = true)
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -137,7 +137,7 @@ architecture rtl of Ad9249ReadoutGroup2 is

signal debugDataValid : sl;
signal debugDataOut : slv(NUM_CHANNELS_G*16-1 downto 0);
signal debugDataTmp : slv16Array(7 downto 0);
signal debugDataTmp : slv16Array(7 downto 0) := (others => (others => '0'));

signal invertSync : sl;
signal bitSlip : sl;
Expand Down
2 changes: 1 addition & 1 deletion devices/AnalogDevices/ad9249/tb/Ad9249Group.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -532,7 +532,7 @@ begin
end if;
end process seq;

adcComb : process (adcR) is
adcComb : process (adcR, r, vin) is
variable v : AdcRegType := ADC_REG_INIT_C;
begin
v := adcR;
Expand Down
20 changes: 19 additions & 1 deletion ethernet/RoCEv2/README.md
Original file line number Diff line number Diff line change
@@ -1 +1,19 @@
@FilMarini: Please write up some text about how these modules were auto-generated from BlueRdma
# Hardware Implementation of RoCEv2 Engine
This folder contains files generated from Bluespec SystemVerilog (BSV) source code located in different repositories: [blue-rdma](https://github.com/datenlord/blue-rdma), [blue-crc](https://github.com/datenlord/blue-crc)

## Description
The verilog files in the `blue-rdma` and `blue-crc` folders represent a hardware implementation of the RoCEv2 engine and a iCRC calculation engine, respectively.
These files have been generated from a modified version of the BSV sources. The forked repo with the modifed version can be found [here](https://github.com/FilMarini/blue-rdma)

The modifications consists in:

* **Receiving Path Removed**: The RoCEv2 engine's receiving path as well as support for RDMA-Read operations has been entirely removed.

* **Resource Optimization**: By removing the receiving path, the core now consumes fewer hardware resources, allowing it to fit on smaller FPGAs.

* **Fixed settings**: the generated verilog has support for 1 PD, 1 QP, 2 CQ and 2 MR, in order to be as light as possible. To change these settings, the core needs to be re-generated from its original or modified repo

## License information
The BSV-generated files follow the licensing terms from the original repositories. A copy of the original license can be found in the folders.

Please ensure compliance with both licenses when using or modifying these files.
3 changes: 3 additions & 0 deletions ethernet/RoCEv2/blue-lib/README.md
Original file line number Diff line number Diff line change
@@ -0,0 +1,3 @@
These files are taken from the [B-Lang-org/bsc](https://github.com/B-Lang-org/bsc) repo.

The license file from the original repo applies to the files in this folder
340 changes: 340 additions & 0 deletions ethernet/RoCEv2/blue-rdma/LICENSE

Large diffs are not rendered by default.

26 changes: 0 additions & 26 deletions protocols/pgp/pgp2fc/README.md

This file was deleted.

7 changes: 5 additions & 2 deletions protocols/pgp/pgp2fc/core/rtl/CRC7Rtl.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -26,9 +26,12 @@ entity CRC7Rtl is
end CRC7Rtl;

architecture imp_crc of CRC7Rtl is
signal lfsr_q: std_logic_vector (7 downto 0);
signal lfsr_c: std_logic_vector (7 downto 0);

signal lfsr_q: std_logic_vector (7 downto 0) := (others => '0');
signal lfsr_c: std_logic_vector (7 downto 0) := (others => '0');

begin

crc_out <= lfsr_c when crc_en = '1' else lfsr_q;
crc_out_r <= lfsr_q;

Expand Down
2 changes: 1 addition & 1 deletion protocols/pgp/pgp2fc/core/rtl/Pgp2fcAlignmentChecker.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2fc: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down
2 changes: 2 additions & 0 deletions protocols/pgp/pgp2fc/core/rtl/Pgp2fcAlignmentController.vhd
Original file line number Diff line number Diff line change
@@ -1,4 +1,6 @@
-------------------------------------------------------------------------------
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: GTH RX Byte Alignment Controller
Expand Down
26 changes: 14 additions & 12 deletions protocols/pgp/pgp2fc/core/rtl/Pgp2fcAxi.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2fc: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down Expand Up @@ -40,11 +40,11 @@ entity Pgp2fcAxi is
port (

-- TX PGP Interface (pgpTxClk domain)
pgpTxClk : in sl;
pgpTxClkRst : in sl;
pgpTxIn : out Pgp2fcTxInType;
pgpTxOut : in Pgp2fcTxOutType;
locTxIn : in Pgp2fcTxInType := PGP2FC_TX_IN_INIT_C;
pgpTxClk : in sl;
pgpTxClkRst : in sl;
pgpTxIn : out Pgp2fcTxInType;
pgpTxOut : in Pgp2fcTxOutType;
locTxIn : in Pgp2fcTxInType := PGP2FC_TX_IN_INIT_C;

-- RX PGP Interface (pgpRxClk domain)
pgpRxClk : in sl;
Expand Down Expand Up @@ -331,9 +331,9 @@ begin
generic map (
TPD_G => TPD_G,
REF_CLK_FREQ_G => AXI_CLK_FREQ_G,
REFRESH_RATE_G => 100.0,
CLK_LOWER_LIMIT_G => 155.0E+6,
CLK_UPPER_LIMIT_G => 158.0E+6,
REFRESH_RATE_G => 1.0,
CLK_LOWER_LIMIT_G => 185.0E+6,
CLK_UPPER_LIMIT_G => 187.0E+6,
CNT_WIDTH_G => 32)
port map (
freqOut => rxStatusSync.rxClkFreq,
Expand Down Expand Up @@ -446,9 +446,9 @@ begin
generic map (
TPD_G => TPD_G,
REF_CLK_FREQ_G => AXI_CLK_FREQ_G,
REFRESH_RATE_G => 100.0,
CLK_LOWER_LIMIT_G => 155.0E+6,
CLK_UPPER_LIMIT_G => 158.0E+6,
REFRESH_RATE_G => 1.0,
CLK_LOWER_LIMIT_G => 185.0E+6,
CLK_UPPER_LIMIT_G => 187.0E+6,
CNT_WIDTH_G => 32)
port map (
freqOut => txStatusSync.txClkFreq,
Expand Down Expand Up @@ -518,6 +518,8 @@ begin
pgpTxIn.flowCntlDis <= locTxIn.flowCntlDis or syncFlowCntlDis;
pgpTxIn.resetTx <= locTxIn.resetTx or txReset;
pgpTxIn.resetGt <= r.resetGt;
pgpTxIn.fcValid <= locTxIn.fcValid;
pgpTxIn.fcWord <= locTxIn.fcWord;


-------------------------------------
Expand Down
4 changes: 3 additions & 1 deletion protocols/pgp/pgp2fc/core/rtl/Pgp2fcLane.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2fc: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down Expand Up @@ -68,6 +68,7 @@ entity Pgp2fcLane is
pgpRxClkEn : in sl := '1';
pgpRxClk : in sl := '0';
pgpRxClkRst : in sl := '0';
pgpRxPhyRst : in sl := '0';

-- Non-VC related IO
pgpRxIn : in Pgp2fcRxInType := PGP2FC_RX_IN_INIT_C;
Expand Down Expand Up @@ -152,6 +153,7 @@ begin
pgpRxClkEn => pgpRxClkEn,
pgpRxClk => pgpRxClk,
pgpRxClkRst => pgpRxClkRst,
pgpRxPhyRst => pgpRxPhyRst,
pgpRxIn => pgpRxIn,
pgpRxOut => intRxOut,
pgpRxMaster => intRxMaster,
Expand Down
2 changes: 1 addition & 1 deletion protocols/pgp/pgp2fc/core/rtl/Pgp2fcPkg.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2fc: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down
7 changes: 5 additions & 2 deletions protocols/pgp/pgp2fc/core/rtl/Pgp2fcRx.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2fc: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down Expand Up @@ -38,6 +38,7 @@ entity Pgp2fcRx is
pgpRxClkEn : in sl := '1'; -- Master clock enable
pgpRxClk : in sl; -- Master clock
pgpRxClkRst : in sl; -- Synchronous reset input
pgpRxPhyRst : in sl := '0';

-- Non-VC related IO
pgpRxIn : in Pgp2fcRxInType;
Expand Down Expand Up @@ -87,6 +88,7 @@ architecture Pgp2fcRx of Pgp2fcRx is
signal overflow : slv(3 downto 0);
signal intFcValid : sl;
signal intFcError : sl;
signal phyRxRst : sl;

attribute KEEP_HIERARCHY : string;
attribute KEEP_HIERARCHY of
Expand All @@ -101,6 +103,7 @@ begin
pgpRxOut.phyRxReady <= phyRxReady;
pgpRxOut.remOverflow <= overflow;
pgpRxOut.remPause <= pause;
phyRxRst <= pgpRxPhyRst;

-- Interface connection
intPhyRxData <= phyRxLaneIn.data;
Expand All @@ -117,7 +120,7 @@ begin
) port map (
pgpRxClkEn => pgpRxClkEn,
pgpRxClk => pgpRxClk,
pgpRxClkRst => pgpRxClkRst,
pgpRxClkRst => phyRxRst,
pgpRxLinkReady => intRxLinkReady,
pgpRxLinkDown => pgpRxOut.linkDown,
pgpRxLinkError => pgpRxOut.linkError,
Expand Down
2 changes: 1 addition & 1 deletion protocols/pgp/pgp2fc/core/rtl/Pgp2fcRxCell.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2fc: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down
2 changes: 1 addition & 1 deletion protocols/pgp/pgp2fc/core/rtl/Pgp2fcRxPhy.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2fc: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down
2 changes: 1 addition & 1 deletion protocols/pgp/pgp2fc/core/rtl/Pgp2fcTx.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2fc: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down
2 changes: 1 addition & 1 deletion protocols/pgp/pgp2fc/core/rtl/Pgp2fcTxCell.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2fc: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down
4 changes: 2 additions & 2 deletions protocols/pgp/pgp2fc/core/rtl/Pgp2fcTxPhy.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2fc: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down Expand Up @@ -322,7 +322,7 @@ begin
end process;

crcRst <= '1' when fcWordCount = FC_WORDS_G else '0';
crcEn <= '1' when curState = ST_FC_C else '0';
crcEn <= '1' when curState = ST_FC_C else '0';

U_Crc7 : entity surf.CRC7Rtl
port map (
Expand Down
2 changes: 1 addition & 1 deletion protocols/pgp/pgp2fc/core/rtl/Pgp2fcTxSched.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2fc: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down
2 changes: 1 addition & 1 deletion protocols/pgp/pgp2fc/core/tb/Pgp2fcLane_tb.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2b: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down
2 changes: 1 addition & 1 deletion protocols/pgp/pgp2fc/core/tb/RoguePgp2fcSim.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2fc: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down
3 changes: 3 additions & 0 deletions protocols/pgp/pgp2fc/gthUltraScale+/ip/Pgp2fcGthCore.dcp
Git LFS file not shown
Loading

0 comments on commit 60065b6

Please sign in to comment.